新闻  |   论坛  |   博客  |   在线研讨会
关于quartus中模块的引用
paozmh | 2009-05-27 17:10:35    阅读:19350   发布文章

quartus中一个工程中可包含一个顶层模块,多个子模块,通过顶层模块引用子模块。
1:顶层模块的实体名必须与建立工程时的实体名一致,否则编译时会出错,如下
Error: Top-level design entity "AND" is undefined
2,多个实体文件建立后在quartus界面左边的工程文件夹中找到要作为顶层文件的文件点击右键设置为顶层文件
3.所有文件设置好后再进行编译,单独编译某个文件的话肯定错误很多。
http://book.idoican.com.cn/Detail/DefaultView.aspx?BookId=ISBN7-115-13204-6
里面有一个调用模块的例子,书中间的子模块命名为NAND编译时不能通过,后来改个名字NAND11就通过了,难道NAND是关键词不可用来命名?

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
学习 交流 进步
最近文章
小心 FPGA的JTAG接口
2009-05-25 14:57:26
开始~~~
2009-05-22 15:20:35
推荐文章
最近访客